logo InteleCPRI Intel® FPGA IP Desain
Example Guide pamaké
Diropéa pikeun Intel®
Quartus®
Perdana Desain Suite: 23.1
IP Vérsi: 2.0.3

Gancang Mimitian Guide

The Enhanced Common Public Radio Interface (eCPRI) Intel® FPGA IP inti nerapkeun versi spésifikasi eCPRI 2.0. eCPRI Intel FPGA IP nyadiakeun testbench simulasi jeung ex design hardwareample nu ngarojong kompilasi sarta nguji hardware. Nalika anjeun ngahasilkeun desain example, editor parameter otomatis nyiptakeun files perlu simulate, compile, sarta nguji ex designample dina hardware.
Desain hardware anu disusun example ngajalankeun dina:

  • Intel Agilex™ 7 I-Series FPGA Development Kit
  • Intel Agilex 7 I-Series Transceiver-SoC Development Kit
  • Intel Agilex 7 F-Series Transceiver-SoC Development Kit
  • Kit Pangembangan Integritas Sinyal Transceiver Intel Stratix® 10 GX pikeun desain H-tile examples
  • Intel Stratix 10 TX Transceiver Signal Integrity Development Kit pikeun desain E-tile examples
  • Intel Arria® 10 GX Transceiver Signal Integritas Development Kit

Intel nyadiakeun ex kompilasi-hijinaample proyék nu bisa Anjeun pake pikeun gancang estimasi aréa inti IP na timing.
The testbench jeung desain example ngarojong 25G na 10G ongkos data pikeun Intel Stratix 10 H-ubin atawa E-ubin jeung Intel Agilex 7 E-ubin atawa F-ubin variasi alat tina IP eCPRI.

Catetan: Desain IP eCPRI example kalawan fungsi interworking (IWF) ngan sadia pikeun 9.8 Gbps CPRI laju bit garis dina release ayeuna.
Catetan: Desain IP eCPRI example teu ngarojong reconfiguration dinamis pikeun laju data 10G di Intel Arria 10 desain.

The eCPRI Intel FPGA IP design core example ngadukung fitur ieu:

  • TX internal pikeun RX mode loopback serial
  • generator lalulintas sarta Checker
  • Kamampuhan mariksa pakét dasar
  • Kamampuhan ngagunakeun System Console pikeun ngajalankeun desain sareng ngareset desain pikeun tujuan uji ulang

Intel Corporation. Sadaya hak disimpen. Intel, logo Intel, sareng merek Intel sanés mangrupikeun mérek dagang Intel Corporation atanapi anak perusahaanna. Intel ngajamin kinerja produk FPGA sareng semikonduktor na kana spésifikasi ayeuna saluyu sareng garansi standar Intel, tapi ngagaduhan hak pikeun ngarobih naon waé produk sareng jasa iraha waé tanpa aya bewara. Intel henteu nanggung tanggung jawab atanapi tanggung jawab anu timbul tina aplikasi atanapi pamakean inpormasi, produk, atanapi jasa anu dijelaskeun di dieu iwal ti dinyatakeun sapuk sacara tinulis ku Intel. Konsumén Intel disarankan pikeun ménta versi panganyarna tina spésifikasi alat sateuacan ngandelkeun inpormasi anu diterbitkeun sareng sateuacan nempatkeun pesenan produk atanapi jasa. *Ngaran sareng merek sanésna tiasa diklaim salaku hak milik batur.

ISO 9001: 2015 didaptarkeun

Gambar 1. Léngkah Pangwangunan pikeun Desain ExampleeCPRI Intel FPGA IP Design - Gambar 1

Émbaran patali

  • eCPRI Intel FPGA IP Guide pamaké
  • eCPRI Intel FPGA IP Release Catetan

1.1. Hardware jeung Software Syarat
Pikeun nguji exampdesain, ngagunakeun hardware jeung software handap:

  • Parangkat lunak Intel Quartus® Prime Pro Edition vérsi 23.1
  • Konsol Sistim
  • Simulator anu dirojong:
    — Siemens* EDA QuestaSim*
    — Synopsys* VCS*
    - Synopsys VCS MX
    — Aldec* Riviera-PRO*
    — Irama* Xcelium*
  • Kit Pangwangunan:
    - Intel Agilex 7 I-Series FPGA Development Kit
    - Intel Agilex 7 I-Series Transceiver-SoC Development Kit
    - Intel Agilex 7 F-Series Transceiver-SoC Development Kit
    — Intel Stratix 10 GX Transceiver Signal Integrity Development Kit pikeun desain variasi alat H-tile example
    - Pangembangan Integritas Sinyal Transceiver Intel Stratix 10 TX pikeun desain variasi alat E-ubin example
    - Intel Arria 10 GX Transceiver Signal Integritas Development Kit

Émbaran patali

  • Intel Agilex 7 I-Series FPGA Development Kit Guide pamaké
  • Intel Agilex 7 I-Series Transceiver-SoC Development Kit Guide Pamaké
  • Intel Agilex 7 F-Series Transceiver-SoC Development Kit Guide Pamaké
  • Intel Stratix 10 GX Transceiver Signal Integritas Development Kit Guide Pamaké
  • Intel Stratix 10 TX Transceiver Signal Integritas Development Kit Guide Pamaké
  • Intel Arria 10 GX Transceiver Signal Integritas Development Kit Guide Pamaké

1.2. Ngahasilkeun Desain
Prasarat: Sakali anjeun nampi eCPRI web-inti IP, simpen dina webinstaller -core ka wewengkon lokal. Jalankeun pamasangan sareng Windows / Linux. Nalika dipenta, pasang webinti ka lokasi anu sarua sakumaha polder Intel Quartus Prime.
IP eCPRI Intel FPGA ayeuna muncul dina Katalog IP.
Upami anjeun teu acan gaduh proyék Intel Quartus Prime Pro Edition pikeun ngahijikeun inti eCPRI Intel FPGA IP anjeun, anjeun kedah nyiptakeun hiji.

  1. Dina parangkat lunak Intel Quartus Prime Pro Edition, klik File ➤ New Project Wizard pikeun nyieun proyék Intel Quartus Prime anyar, atawa klik File ➤ Open Project pikeun muka proyék Intel Quartus Prime anu tos aya. Wizard nyarankeun anjeun nangtukeun alat.
  2. Sebutkeun kulawarga alat jeung alat nu minuhan sarat kelas speed.
  3. Klik Rengse.
  4. Dina Katalog IP, panggihan tur ganda-klik eCPRI Intel FPGA IP. Jandéla Varian IP Anyar nembongan.

Turutan léngkah-léngkah ieu pikeun ngahasilkeun desain hardware eCPRI IP example jeung testbench:

  1. Dina Katalog IP, panggihan tur ganda-klik eCPRI Intel FPGA IP. Jandéla Varian IP Anyar nembongan.
  2. Pencét OK. Editor parameter nembongan.
    Gambar 2. Example Desain Tab dina eCPRI Intel FPGA IP Parameter EditoreCPRI Intel FPGA IP Design - Gambar 2
  3. Sebutkeun ngaran tingkat luhur pikeun variasi IP custom Anjeun. Editor parameter nyimpen setelan variasi IP dina a file ngaranna .ip.
  4. Pencét OK. Editor parameter nembongan.
  5. Dina tab Umum, tangtukeun parameter pikeun variasi inti IP anjeun.
    Catetan: • Anjeun kudu ngaktipkeun parameter Streaming dina eCPRI IP editor parameter mun anjeun ngahasilkeun ex designampParameter Rojongan Interworking Function (IWF) diaktipkeun,
    • Anjeun kudu nyetel CPRI Line Bit Rate (Gbit / s) ka Batur nalika ngahasilkeun ex designample kalawan Fungsi Interworking (IWF) Rojongan parameter diaktipkeun.
  6. Dina Example tab Desain, pilih pilihan simulasi keur ngahasilkeun testbench, pilih pilihan sintésis keur ngahasilkeun ex hardwareampdesain le, tur pilih sintésis sarta simulasi pilihan pikeun ngahasilkeun duanana testbench jeung desain hardware example.
  7. Pikeun Basa keur simulasi tingkat luhur file, pilih Verilog atawa VHDL.
    Catetan: Pilihan ieu ngan sadia sawaktos Anjeun milih pilihan Simulasi pikeun ex Anjeunamprarancang.
  8. Pikeun Basa pikeun sintésis tingkat luhur file, pilih Verilog atawa VHDL.
    Catetan: Pilihan ieu ngan sadia sawaktos Anjeun milih pilihan Synthesis pikeun ex Anjeunamprarancang.
  9. Pikeun Jumlah Saluran, anjeun tiasa ngalebetkeun jumlah saluran (1 dugi ka 4) anu dimaksudkeun pikeun desain anjeun. Nilai standar nyaéta 1.
  10. Klik Generate Exampjeung Desain. Pilih Example Desain Diréktori jandela mucunghul.
  11. Upami anjeun hoyong ngarobih desain exampjalur diréktori atanapi nami tina standar anu ditampilkeun (ecpri_0_testbench), kotektak ka jalur énggal sareng ketik desain anyar example ngaran diréktori.
  12. Pencét OK.

Émbaran patali
eCPRI Intel FPGA IP Guide pamaké
1.3. Struktur Diréktori
Desain inti eCPRI IP example file directories ngandung handap dihasilkeun files pikeun desain example.

Gambar 3. Struktur Diréktori tina Dihasilkeun Example DesaineCPRI Intel FPGA IP Design - Gambar 3

Catetan:

  1. Ngan hadir dina Intel Arria 10 desain IP exampvariasina.
  2. Ngan hadir dina Intel Stratix 10 (H-ubin atawa E-ubin) desain IP exampvariasina.
  3. Ngan hadir dina Intel Agilex E-ubin IP design exampvariasina.

meja 1. eCPRI Intel FPGA IP Core Testbench File Katerangan

File Ngaran  Katerangan
Key Testbench jeung simulasi Files
<design_example_dir> / simulasi / testbench / ecpri_tb.sv Testbench tingkat luhur file. Testbench instantiates wrapper DUT tur ngajalankeun tugas Verilog HDL pikeun ngahasilkeun sarta nampa pakét.
<design_example_dir>/simulasi/testbench/ecpri_ed.sv DUT wrapper nu instantiates DUT sarta komponén testbench séjén.
<design_example_dir>/simulasi/ed_fw/flow.c C-kode sumber file.
Skrip Testbench
<design_example_dir>/simulasi/setup_scripts/mentor/run_vsim.do Aksara Siemens EDA QuestaSim pikeun ngajalankeun testbench.
<design_example_dir>/simulation/setup_scripts/synopsys/vcs/run_vcs.sh Aksara Synopsys VCS pikeun ngajalankeun testbench.
<design_example_dir>/simulation/setup_scripts/synopsys/vcsmx/run_vcsmx.sh Aksara Synopsys VCS MX (digabungkeun Verilog HDL jeung
SystemVerilog kalawan VHDL) pikeun ngajalankeun testbench.
<design_example_dir>/simulasi/setup_scripts/aldec/run_rivierapro.tcl Aksara Aldec * Riviera-PRO pikeun ngajalankeun testbench.
<design_example_dir>/simulasi/setup_scripts/xcelium/run_xcelium.sh The Cadence * Aksara Xcelium pikeun ngajalankeun testbench.

meja 2. eCPRI Intel FPGA IP Core Hardware Desain Example File Katerangan

File Ngaran Katerangan
<design_example_dir>/sintésis/quartus/ecpri_ed.qpf Proyék Intel Quartus Prime file.
<design_example_dir>/sintésis/quartus/ecpri_ed.qsf Setélan proyék Intel Quartus Prime file.
<design_example_dir>/sintésis/quartus/ecpri_ed.sdc Synopsys Desain Konstrain files. Anjeun tiasa nyalin sareng ngarobih ieu files pikeun anjeun sorangan Intel Stratix 10 desain.
<design_example_dir>/sintésis/testbench/ecpri_ed_top.sv Desain Verilog HDL tingkat luhur example file.
<design_example_dir>/sintésis/testbench/ecpri_ed.sv DUT wrapper nu instantiates DUT sarta komponén testbench séjén.
<design_example_dir>/sintésis/quartus/ecpri_s10.tcl Utama file pikeun ngakses Konsol Sistim (Sadia dina Intel Stratix 10 H-ubin jeung desain E-ubin).
<design_example_dir>/sintésis/quartus/ecpri_a10.tcl Utama file pikeun ngakses System Console (Sadia dina Intel Arria 10 desain).
<design_example_dir>/sintésis/quartus/ ecpri_agilex.tcl Utama file pikeun ngakses System Console (Sadia dina Intel Agilex 7 desain).

1.4. Simulating Desain Exampjeung Testbench
Gambar 4. ProsedureCPRI Intel FPGA IP Design - Gambar 4

Turutan léngkah ieu pikeun simulasi testbench:

  1. Dina ajakan paréntah, ganti kana diréktori simulasi testbenchample_dir>/simulasi/setup_scripts.
  2. Pikeun variasi alat Intel Agilex F-ubin, tuturkeun léngkah ieu:
    a. Arahkeun kaample_dir> / simulasi / diréktori quartus sareng jalankeun dua paréntah ieu di handap: quartus_ipgenerate -run_default_mode_op ecpri_ed -c ecpri_ed quartus_tlg ecpri_ed
    Gantina, anjeun tiasa muka proyék ecpri_ed.qpf di Intel Quartus Prime Pro Edition sareng ngalaksanakeun kompilasi dugi ka Support Logic Generation s.tage.
    b. Arahkeun kaample_dir> / simulasi / setup_scripts diréktori.
    c. Jalankeun paréntah di handap ieu: ip-setup-simulation -–quartus-project=../quartus/ecpri_ed.qpf
  3. Jalankeun skrip simulasi pikeun simulator anu dirojong tina pilihan anjeun. Skrip compiles tur ngajalankeun testbench dina simulator nu. Tingal tabel Léngkah pikeun Simulate Testbench.
    Catetan: Pangrojong basa VHDL pikeun simulasi ngan sayogi sareng simulator QuestaSim sareng VCS MX. Pangrojong basa Verilog pikeun simulasi geus sadia pikeun sakabéh simulators didaptarkeun dina Table: Léngkah pikeun simulate Testbench.
  4. Nganalisis hasilna. Testbench suksés ngirim sareng nampi pakét, sareng ningalikeun "LULUS".

meja 3. Léngkah simulasi Testbench

Simulator parentah
QuestaSim Dina baris paréntah, ngetik vsim -do run_vsim.do Upami Anjeun leuwih resep simulate tanpa bringing up GUI QuestaSim, ngetik vsim -c -do run_vsim.do
VCS • Dina garis paréntah, ngetik sh run_vcs.sh
• Napigasi kaample_dir>/simulation/setup_scripts/synopsys/vcs jeung ngajalankeun paréntah di handap ieu: sh run_vcs.sh
VCS MX Dina baris paréntah, ngetik sh run_vcsmx.sh
Riviera-PRO Dina garis paréntah, ngetik vsim -c -do run_rivierapro.tcl
Catetan: Ngan dirojong dina variasi desain Intel Stratix 10 H-ubin.
Xcelium (1) Dina baris paréntah, ngetik sh run_xcelium.sh
  1. simulator ieu teu dirojong pikeun eCPRI Intel FPGA IP design example dihasilkeun ku fitur IWF diaktipkeun.

SampLe Kaluaran: Di handap ieu sampkaluaran le illustrates a test simulasi suksés ngajalankeun ex design eCPRI IPample tanpa fitur IWF diaktipkeun kalawan Jumlah Saluran = 4:

# Ngantosan alignment RX
# RX deskew dikonci
# RX jalur alignment dikonci
# Nungguan kasalahan link jelas
# Patalina kasalahan jelas
# Alamat Sumber MAC 0_0 Saluran 0: 33445566
# Alamat Sumber MAC 0_1 Saluran 0: 00007788
# Alamat Tujuan MAC 0_0 Saluran 0: 33445566
# Alamat Tujuan MAC 0_1 Saluran 0: 00007788
# Alamat Tujuan MAC 1_0 Saluran 0: 11223344
# Alamat Tujuan MAC 1_1 Saluran 0: 00005566
# Alamat Tujuan MAC 2_0 Saluran 0: 22334455
# Alamat Tujuan MAC 2_1 Saluran 0: 00006677
# Alamat Tujuan MAC 3_0 Saluran 0: 44556677
# Alamat Tujuan MAC 3_1 Saluran 0: 00008899
# Alamat Tujuan MAC 4_0 Saluran 0: 66778899
# Alamat Tujuan MAC 4_1 Saluran 0: 0000aabb
# Alamat Tujuan MAC 5_0 Saluran 0: 778899aa
# Alamat Tujuan MAC 5_1 Saluran 0: 0000bbcc
# Alamat Tujuan MAC 6_0 Saluran 0: 8899aabb
# MAC Alamat Tujuan 6_1 Saluran 0: 0000ccdd
# MAC Alamat Tujuan 7_0 Saluran 0: 99aabbcc
# MAC Alamat Tujuan 7_1 Saluran 0: 0000ddee
# Saluran Kontrol Umum eCPRI 0: 00000041
# Aktipkeun ngaganggu Saluran Kontrol Umum eCPRI 0: 00000241
# Vérsi eCPRI Saluran 0: 2
# Alamat Sumber MAC 0_0 Saluran 1: 33445566
# Alamat Sumber MAC 0_1 Saluran 1: 00007788
# Alamat Tujuan MAC 0_0 Saluran 1: 33445566
# Alamat Tujuan MAC 0_1 Saluran 1: 00007788
# Alamat Tujuan MAC 1_0 Saluran 1: 11223344
# Alamat Tujuan MAC 1_1 Saluran 1: 00005566
# Alamat Tujuan MAC 2_0 Saluran 1: 22334455
# Alamat Tujuan MAC 2_1 Saluran 1: 00006677
# Alamat Tujuan MAC 3_0 Saluran 1: 44556677
# Alamat Tujuan MAC 3_1 Saluran 1: 00008899
# Alamat Tujuan MAC 4_0 Saluran 1: 66778899
# Alamat Tujuan MAC 4_1 Saluran 1: 0000aabb
# Alamat Tujuan MAC 5_0 Saluran 1: 778899aa
# Alamat Tujuan MAC 5_1 Saluran 1: 0000bbcc
# Alamat Tujuan MAC 6_0 Saluran 1: 8899aabb
# MAC Alamat Tujuan 6_1 Saluran 1: 0000ccdd
# MAC Alamat Tujuan 7_0 Saluran 1: 99aabbcc
# MAC Alamat Tujuan 7_1 Saluran 1: 0000ddee
# Saluran Kontrol Umum eCPRI 1: 00000041
# Aktipkeun ngaganggu Saluran Kontrol Umum eCPRI 1: 00000241
# Vérsi eCPRI Saluran 1: 2
# Alamat Sumber MAC 0_0 Saluran 2: 33445566
# Alamat Sumber MAC 0_1 Saluran 2: 00007788
# Alamat Tujuan MAC 0_0 Saluran 2: 33445566
# Alamat Tujuan MAC 0_1 Saluran 2: 00007788
# Alamat Tujuan MAC 1_0 Saluran 2: 11223344
# Alamat Tujuan MAC 1_1 Saluran 2: 00005566
# Alamat Tujuan MAC 2_0 Saluran 2: 22334455
# Alamat Tujuan MAC 2_1 Saluran 2: 00006677
# Alamat Tujuan MAC 3_0 Saluran 2: 44556677
# Alamat Tujuan MAC 3_1 Saluran 2: 00008899
# Alamat Tujuan MAC 4_0 Saluran 2: 66778899
# Alamat Tujuan MAC 4_1 Saluran 2: 0000aabb
# Alamat Tujuan MAC 5_0 Saluran 2: 778899aa
# Alamat Tujuan MAC 5_1 Saluran 2: 0000bbcc
# Alamat Tujuan MAC 6_0 Saluran 2: 8899aabb
# MAC Alamat Tujuan 6_1 Saluran 2: 0000ccdd
# MAC Alamat Tujuan 7_0 Saluran 2: 99aabbcc
# MAC Alamat Tujuan 7_1 Saluran 2: 0000ddee
# Saluran Kontrol Umum eCPRI 2: 00000041
# Aktipkeun ngaganggu Saluran Kontrol Umum eCPRI 2: 00000241
# Vérsi eCPRI Saluran 2: 2
# Alamat Sumber MAC 0_0 Saluran 3: 33445566
# Alamat Sumber MAC 0_1 Saluran 3: 00007788
# Alamat Tujuan MAC 0_0 Saluran 3: 33445566
# Alamat Tujuan MAC 0_1 Saluran 3: 00007788
# Alamat Tujuan MAC 1_0 Saluran 3: 11223344
# Alamat Tujuan MAC 1_1 Saluran 3: 00005566
# Alamat Tujuan MAC 2_0 Saluran 3: 22334455
# Alamat Tujuan MAC 2_1 Saluran 3: 00006677
# Alamat Tujuan MAC 3_0 Saluran 3: 44556677
# Alamat Tujuan MAC 3_1 Saluran 3: 00008899
# Alamat Tujuan MAC 4_0 Saluran 3: 66778899
# Alamat Tujuan MAC 4_1 Saluran 3: 0000aabb
# Alamat Tujuan MAC 5_0 Saluran 3: 778899aa
# Alamat Tujuan MAC 5_1 Saluran 3: 0000bbcc
# Alamat Tujuan MAC 6_0 Saluran 3: 8899aabb
# MAC Alamat Tujuan 6_1 Saluran 3: 0000ccdd
# MAC Alamat Tujuan 7_0 Saluran 3: 99aabbcc
# MAC Alamat Tujuan 7_1 Saluran 3: 0000ddee
# Saluran Kontrol Umum eCPRI 3: 00000041
# Aktipkeun ngaganggu Saluran Kontrol Umum eCPRI 3: 00000241
# Vérsi eCPRI Saluran 3: 2
# _________________________________________________________
# INFO: Kaluar tina status reset
# _________________________________________________________
#
#
# Saluran 0 eCPRI TX Jumlah SOP: 0
# Saluran 0 eCPRI TX EOPs cacah: 0
# Saluran 0 eCPRI RX SOP jumlah: 0
# Saluran 0 eCPRI RX EOPs cacah: 0
# Saluran 0 Éksternal PTP TX Jumlah SOP: 0
# Saluran 0 Éksternal PTP TX EOPs cacah: 0
# Saluran 0 Éksternal MISC TX SOP cacah: 0
# Saluran 0 Éksternal MISC TX EOPs cacah: 0
# Saluran 0 Jumlah SOP RX éksternal: 0
# Saluran 0 Éksternal RX EOPs cacah: 0
# Saluran 1 eCPRI TX Jumlah SOP: 0
# Saluran 1 eCPRI TX EOPs cacah: 0
# Saluran 1 eCPRI RX SOP jumlah: 0
# Saluran 1 eCPRI RX EOPs cacah: 0
# Saluran 1 Éksternal PTP TX Jumlah SOP: 0
# Saluran 1 Éksternal PTP TX EOPs cacah: 0
# Saluran 1 Éksternal MISC TX SOP cacah: 0
# Saluran 1 Éksternal MISC TX EOPs cacah: 0
# Saluran 1 Jumlah SOP RX éksternal: 0
# Saluran 1 Éksternal RX EOPs cacah: 0
# Saluran 2 eCPRI TX Jumlah SOP: 0
# Saluran 2 eCPRI TX EOPs cacah: 0
# Saluran 2 eCPRI RX SOP jumlah: 0
# Saluran 2 eCPRI RX EOPs cacah: 0
# Saluran 2 Éksternal PTP TX Jumlah SOP: 0
# Saluran 2 Éksternal PTP TX EOPs cacah: 0
# Saluran 2 Éksternal MISC TX SOP cacah: 0
# Saluran 2 Éksternal MISC TX EOPs cacah: 0
# Saluran 2 Jumlah SOP RX éksternal: 0
# Saluran 2 Éksternal RX EOPs cacah: 0
# Saluran 3 eCPRI TX Jumlah SOP: 0
# Saluran 3 eCPRI TX EOPs cacah: 0
# Saluran 3 eCPRI RX SOP jumlah: 0
# Saluran 3 eCPRI RX EOPs cacah: 0
# Saluran 3 Éksternal PTP TX Jumlah SOP: 0
# Saluran 3 Éksternal PTP TX EOPs cacah: 0
# Saluran 3 Éksternal MISC TX SOP cacah: 0
# Saluran 3 Éksternal MISC TX EOPs cacah: 0
# Saluran 3 Jumlah SOP RX éksternal: 0
# Saluran 3 Éksternal RX EOPs cacah: 0
# _________________________________________________________
# INFO: Mimitian ngirimkeun pakét
# _________________________________________________________
#
#
# INFO: Ngadagoan pangiriman lalulintas Channel 0 eCPRI TX réngsé
# INFO: Saluran 0 eCPRI TX transfer lalulintas réngsé
# INFO: Ngadagoan Channel 0 eCPRI External TX PTP mindahkeun lalulintas ka
lengkep
# INFO: Saluran 0 eCPRI External TX PTP transfer lalulintas réngsé
# INFO: Ngadagoan Channel 0 eCPRI External TX Misc mindahkeun lalulintas ka
lengkep
# INFO: Saluran 0 eCPRI External TX Misc mindahkeun lalulintas réngsé
# INFO: Ngadagoan pangiriman lalulintas Channel 1 eCPRI TX réngsé
# INFO: Saluran 1 eCPRI TX transfer lalulintas réngsé
# INFO: Ngadagoan Channel 1 eCPRI External TX PTP mindahkeun lalulintas ka
lengkep
# INFO: Saluran 1 eCPRI External TX PTP transfer lalulintas réngsé
# INFO: Ngadagoan Channel 1 eCPRI External TX Misc mindahkeun lalulintas ka
lengkep
# INFO: Saluran 1 eCPRI External TX Misc mindahkeun lalulintas réngsé
# INFO: Ngadagoan pangiriman lalulintas Channel 2 eCPRI TX réngsé
# INFO: Saluran 2 eCPRI TX transfer lalulintas réngsé
# INFO: Ngadagoan Channel 2 eCPRI External TX PTP mindahkeun lalulintas ka
lengkep
# INFO: Saluran 2 eCPRI External TX PTP transfer lalulintas réngsé
# INFO: Ngadagoan Channel 2 eCPRI External TX Misc mindahkeun lalulintas ka
lengkep
# INFO: Saluran 2 eCPRI External TX Misc mindahkeun lalulintas réngsé
# INFO: Ngadagoan pangiriman lalulintas Channel 3 eCPRI TX réngsé
# INFO: Saluran 3 eCPRI TX transfer lalulintas réngsé
# INFO: Ngadagoan Channel 3 eCPRI External TX PTP mindahkeun lalulintas ka
lengkep
# INFO: Saluran 3 eCPRI External TX PTP transfer lalulintas réngsé
# INFO: Ngadagoan Channel 3 eCPRI External TX Misc mindahkeun lalulintas ka
lengkep
# INFO: Saluran 3 eCPRI External TX Misc mindahkeun lalulintas réngsé
# _________________________________________________________
# INFO: Eureun ngirimkeun pakét
# _________________________________________________________
#
#
# _________________________________________________________
# INFO: Mariksa statistik pakét
# _________________________________________________________
#
#
# Saluran 0 eCPRI SOP dikirimkeun: 300
# Saluran 0 eCPRI EOP dikirimkeun: 300
# Saluran 0 eCPRI SOP nampi: 300
# Saluran 0 eCPRI EOPs nampi: 300
# Saluran 0 eCPRI Kasalahan dilaporkeun: 0
# Saluran 0 SOP PTP éksternal anu dikirimkeun: 4
# Saluran 0 PTP EOPs éksternal dikirimkeun: 4
# Saluran 0 SOP MISC éksternal dikirimkeun: 128
# Saluran 0 Eksternal MISC EOPs dikirimkeun: 128
# Saluran 0 SOP éksternal nampi: 132
# Saluran 0 EOPs éksternal nampi: 132
# Saluran 0 SOP PTP éksternal nampi: 4
# Saluran 0 PTP EOPs éksternal nampi: 4
# Saluran 0 SOP MISC éksternal nampi: 128
# Saluran 0 Eksternal MISC EOPs nampi: 128
# Saluran 0 Kasalahan éksternal dilaporkeun: 0
# Saluran 0 Waktos Luaramp Kasalahan sidik ramo dilaporkeun: 0
# Saluran 1 eCPRI SOP dikirimkeun: 300
# Saluran 1 eCPRI EOP dikirimkeun: 300
# Saluran 1 eCPRI SOP nampi: 300
# Saluran 1 eCPRI EOPs nampi: 300
# Saluran 1 eCPRI Kasalahan dilaporkeun: 0
# Saluran 1 SOP PTP éksternal anu dikirimkeun: 4
# Saluran 1 PTP EOPs éksternal dikirimkeun: 4
# Saluran 1 SOP MISC éksternal dikirimkeun: 128
# Saluran 1 Eksternal MISC EOPs dikirimkeun: 128
# Saluran 1 SOP éksternal nampi: 132
# Saluran 1 EOPs éksternal nampi: 132
# Saluran 1 SOP PTP éksternal nampi: 4
# Saluran 1 PTP EOPs éksternal nampi: 4
# Saluran 1 SOP MISC éksternal nampi: 128
# Saluran 1 Eksternal MISC EOPs nampi: 128
# Saluran 1 Kasalahan éksternal dilaporkeun: 0
# Saluran 1 Waktos Luaramp Kasalahan sidik ramo dilaporkeun: 0
# Saluran 2 eCPRI SOP dikirimkeun: 300
# Saluran 2 eCPRI EOP dikirimkeun: 300
# Saluran 2 eCPRI SOP nampi: 300
# Saluran 2 eCPRI EOPs nampi: 300
# Saluran 2 eCPRI Kasalahan dilaporkeun: 0
# Saluran 2 SOP PTP éksternal anu dikirimkeun: 4
# Saluran 2 PTP EOPs éksternal dikirimkeun: 4
# Saluran 2 SOP MISC éksternal dikirimkeun: 128
# Saluran 2 Eksternal MISC EOPs dikirimkeun: 128
# Saluran 2 SOP éksternal nampi: 132
# Saluran 2 EOPs éksternal nampi: 132
# Saluran 2 SOP PTP éksternal nampi: 4
# Saluran 2 PTP EOPs éksternal nampi: 4
# Saluran 2 SOP MISC éksternal nampi: 128
# Saluran 2 Eksternal MISC EOPs nampi: 128
# Saluran 2 Kasalahan éksternal dilaporkeun: 0
# Saluran 2 Waktos Luaramp Kasalahan sidik ramo dilaporkeun: 0
# Saluran 3 eCPRI SOP dikirimkeun: 300
# Saluran 3 eCPRI EOP dikirimkeun: 300
# Saluran 3 eCPRI SOP nampi: 300
# Saluran 3 eCPRI EOPs nampi: 300
# Saluran 3 eCPRI Kasalahan dilaporkeun: 0
# Saluran 3 SOP PTP éksternal anu dikirimkeun: 4
# Saluran 3 PTP EOPs éksternal dikirimkeun: 4
# Saluran 3 SOP MISC éksternal dikirimkeun: 128
# Saluran 3 Eksternal MISC EOPs dikirimkeun: 128
# Saluran 3 SOP éksternal nampi: 132
# Saluran 3 EOPs éksternal nampi: 132
# Saluran 3 SOP PTP éksternal nampi: 4
# Saluran 3 PTP EOPs éksternal nampi: 4
# Saluran 3 SOP MISC éksternal nampi: 128
# Saluran 3 Eksternal MISC EOPs nampi: 128
# Saluran 3 Kasalahan éksternal dilaporkeun: 0
# Saluran 3 Waktos Luaramp Kasalahan sidik ramo dilaporkeun: 0
# _________________________________________________________
# INFO: Tes LULUS
#
# _________________________________________________________

SampLe Kaluaran: Di handap ieu sampkaluaran le illustrates a test simulasi suksés ngajalankeun ex design eCPRI IPample jeung fitur IWF diaktipkeun kalawan Jumlah Saluran = 4:

# Aktipkeun CPRI TX
# CPRI Saluran 0 L1_CONFIG : 00000001
# CPRI Saluran 0 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI Saluran 1 L1_CONFIG : 00000001
# CPRI Saluran 1 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI Saluran 2 L1_CONFIG : 00000001
# CPRI Saluran 2 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI Saluran 3 L1_CONFIG : 00000001
# CPRI Saluran 3 CPRI_CORE_CM_CONFIG : 00001ed4
# Ngantosan alignment RX
# RX deskew dikonci
# RX jalur alignment dikonci
# Nungguan kasalahan link jelas
# Patalina kasalahan jelas
# Alamat Sumber MAC 0_0 Saluran 0: 33445566
# Alamat Sumber MAC 0_1 Saluran 0: 00007788
# Alamat Tujuan MAC 0_0 Saluran 0: 33445566
# Alamat Tujuan MAC 0_1 Saluran 0: 00007788
# Alamat Tujuan MAC 1_0 Saluran 0: 11223344
# Alamat Tujuan MAC 1_1 Saluran 0: 00005566
# Alamat Tujuan MAC 2_0 Saluran 0: 22334455
# Alamat Tujuan MAC 2_1 Saluran 0: 00006677
# Alamat Tujuan MAC 3_0 Saluran 0: 44556677
# Alamat Tujuan MAC 3_1 Saluran 0: 00008899
# Alamat Tujuan MAC 4_0 Saluran 0: 66778899
# Alamat Tujuan MAC 4_1 Saluran 0: 0000aabb
# Alamat Tujuan MAC 5_0 Saluran 0: 778899aa
# Alamat Tujuan MAC 5_1 Saluran 0: 0000bbcc
# Alamat Tujuan MAC 6_0 Saluran 0: 8899aabb
# MAC Alamat Tujuan 6_1 Saluran 0: 0000ccdd
# MAC Alamat Tujuan 7_0 Saluran 0: 99aabbcc
# MAC Alamat Tujuan 7_1 Saluran 0: 0000ddee
# Saluran Kontrol Umum eCPRI 0: 00000041
# Aktipkeun ngaganggu Saluran Kontrol Umum eCPRI 0: 00000241
# Vérsi eCPRI Saluran 0: 2
# Alamat Sumber MAC 0_0 Saluran 1: 33445566
# Alamat Sumber MAC 0_1 Saluran 1: 00007788
# Alamat Tujuan MAC 0_0 Saluran 1: 33445566
# Alamat Tujuan MAC 0_1 Saluran 1: 00007788
# Alamat Tujuan MAC 1_0 Saluran 1: 11223344
# Alamat Tujuan MAC 1_1 Saluran 1: 00005566
# Alamat Tujuan MAC 2_0 Saluran 1: 22334455
# Alamat Tujuan MAC 2_1 Saluran 1: 00006677
# Alamat Tujuan MAC 3_0 Saluran 1: 44556677
# Alamat Tujuan MAC 3_1 Saluran 1: 00008899
# Alamat Tujuan MAC 4_0 Saluran 1: 66778899
# Alamat Tujuan MAC 4_1 Saluran 1: 0000aabb
# Alamat Tujuan MAC 5_0 Saluran 1: 778899aa
# Alamat Tujuan MAC 5_1 Saluran 1: 0000bbcc
# Alamat Tujuan MAC 6_0 Saluran 1: 8899aabb
# MAC Alamat Tujuan 6_1 Saluran 1: 0000ccdd
# MAC Alamat Tujuan 7_0 Saluran 1: 99aabbcc
# MAC Alamat Tujuan 7_1 Saluran 1: 0000ddee
# Saluran Kontrol Umum eCPRI 1: 00000041
# Aktipkeun ngaganggu Saluran Kontrol Umum eCPRI 1: 00000241
# Vérsi eCPRI Saluran 1: 2
# Alamat Sumber MAC 0_0 Saluran 2: 33445566
# Alamat Sumber MAC 0_1 Saluran 2: 00007788
# Alamat Tujuan MAC 0_0 Saluran 2: 33445566
# Alamat Tujuan MAC 0_1 Saluran 2: 00007788
# Alamat Tujuan MAC 1_0 Saluran 2: 11223344
# Alamat Tujuan MAC 1_1 Saluran 2: 00005566
# Alamat Tujuan MAC 2_0 Saluran 2: 22334455
# Alamat Tujuan MAC 2_1 Saluran 2: 00006677
# Alamat Tujuan MAC 3_0 Saluran 2: 44556677
# Alamat Tujuan MAC 3_1 Saluran 2: 00008899
# Alamat Tujuan MAC 4_0 Saluran 2: 66778899
# Alamat Tujuan MAC 4_1 Saluran 2: 0000aabb
# Alamat Tujuan MAC 5_0 Saluran 2: 778899aa
# Alamat Tujuan MAC 5_1 Saluran 2: 0000bbcc
# Alamat Tujuan MAC 6_0 Saluran 2: 8899aabb
# MAC Alamat Tujuan 6_1 Saluran 2: 0000ccdd
# MAC Alamat Tujuan 7_0 Saluran 2: 99aabbcc
# MAC Alamat Tujuan 7_1 Saluran 2: 0000ddee
# Saluran Kontrol Umum eCPRI 2: 00000041
# Aktipkeun ngaganggu Saluran Kontrol Umum eCPRI 2: 00000241
# Vérsi eCPRI Saluran 2: 2
# Alamat Sumber MAC 0_0 Saluran 3: 33445566
# Alamat Sumber MAC 0_1 Saluran 3: 00007788
# Alamat Tujuan MAC 0_0 Saluran 3: 33445566
# Alamat Tujuan MAC 0_1 Saluran 3: 00007788
# Alamat Tujuan MAC 1_0 Saluran 3: 11223344
# Alamat Tujuan MAC 1_1 Saluran 3: 00005566
# Alamat Tujuan MAC 2_0 Saluran 3: 22334455
# Alamat Tujuan MAC 2_1 Saluran 3: 00006677
# Alamat Tujuan MAC 3_0 Saluran 3: 44556677
# Alamat Tujuan MAC 3_1 Saluran 3: 00008899
# Alamat Tujuan MAC 4_0 Saluran 3: 66778899
# Alamat Tujuan MAC 4_1 Saluran 3: 0000aabb
# Alamat Tujuan MAC 5_0 Saluran 3: 778899aa
# Alamat Tujuan MAC 5_1 Saluran 3: 0000bbcc
# Alamat Tujuan MAC 6_0 Saluran 3: 8899aabb
# MAC Alamat Tujuan 6_1 Saluran 3: 0000ccdd
# MAC Alamat Tujuan 7_0 Saluran 3: 99aabbcc
# MAC Alamat Tujuan 7_1 Saluran 3: 0000ddee
# Saluran Kontrol Umum eCPRI 3: 00000041
# Aktipkeun ngaganggu Saluran Kontrol Umum eCPRI 3: 00000241
# Vérsi eCPRI Saluran 3: 2
# Ngadagoan CPRI ngahontal kaayaan link HSYNC
# CPRI Channel 0 kaayaan HSYNC kahontal
# CPRI Channel 1 kaayaan HSYNC kahontal
# CPRI Channel 2 kaayaan HSYNC kahontal
# CPRI Channel 3 kaayaan HSYNC kahontal
# 11100250000 Tulis 1 pikeun nego_bitrate_complete
# 11100650000 Polling PROT_VER Saluran 0
# _________________________________________________________
# 11100850000 Polling register: a0000010
# _________________________________________________________
# 13105050000 Polling PROT_VER Saluran 1
# _________________________________________________________
# 13105250000 Polling register: a0800010
# _________________________________________________________
# 13105950000 Polling PROT_VER Saluran 2
# _________________________________________________________
# 13106150000 Polling register: a1000010
# _________________________________________________________
# 13106850000 Polling PROT_VER Saluran 3
# _________________________________________________________
# 13107050000 Polling register: a1800010
# _________________________________________________________
# 13107750000 Tulis 1 pikeun nego_protol_complete
# 13108150000 Polling CM_STATUS.rx_fast_cm_ptr_valid Saluran 0
# _________________________________________________________
# 13108350000 Polling register: a0000020
# _________________________________________________________
# 14272050000 Polling CM_STATUS.rx_fast_cm_ptr_valid Saluran 1
# _________________________________________________________
# 14272250000 Polling register: a0800020
# _________________________________________________________
# 14272950000 Polling CM_STATUS.rx_fast_cm_ptr_valid Saluran 2
# _________________________________________________________
# 14273150000 Polling register: a1000020
# _________________________________________________________
# 14273850000 Polling CM_STATUS.rx_fast_cm_ptr_valid Saluran 3
# _________________________________________________________
# 14274050000 Polling register: a1800020
# _________________________________________________________
# 14274750000 Tulis 1 pikeun nego_cm_complete
# 14275150000 Tulis 1 mun nego_vss_complete
# Ngadagoan CPRI Channel 0 ngahontal HSYNC & runtuyan ngamimitian FSM STATE_F
# CPRI Channel 0 HSYNC & runtuyan ngamimitian FSM STATE_F kahontal
# Ngadagoan CPRI Channel 1 ngahontal HSYNC & runtuyan ngamimitian FSM STATE_F
# CPRI Channel 1 HSYNC & runtuyan ngamimitian FSM STATE_F kahontal
# Ngadagoan CPRI Channel 2 ngahontal HSYNC & runtuyan ngamimitian FSM STATE_F
# CPRI Channel 2 HSYNC & runtuyan ngamimitian FSM STATE_F kahontal
# Ngadagoan CPRI Channel 3 ngahontal HSYNC & runtuyan ngamimitian FSM STATE_F
# CPRI Channel 3 HSYNC & runtuyan ngamimitian FSM STATE_F kahontal
# _________________________________________________________
# INFO: Kaluar tina status reset
# _________________________________________________________
#
#
# Saluran 0 eCPRI TX Jumlah SOP: 0
# Saluran 0 eCPRI TX EOPs cacah: 0
# Saluran 0 eCPRI RX SOP jumlah: 0
# Saluran 0 eCPRI RX EOPs cacah: 0
# Saluran 0 Éksternal PTP TX Jumlah SOP: 0
# Saluran 0 Éksternal PTP TX EOPs cacah: 0
# Saluran 0 Éksternal MISC TX SOP cacah: 0
# Saluran 0 Éksternal MISC TX EOPs cacah: 0
# Saluran 0 Jumlah SOP RX éksternal: 0
# Saluran 0 Éksternal RX EOPs cacah: 0
# Saluran 1 eCPRI TX Jumlah SOP: 0
# Saluran 1 eCPRI TX EOPs cacah: 0
# Saluran 1 eCPRI RX SOP jumlah: 0
# Saluran 1 eCPRI RX EOPs cacah: 0
# Saluran 1 Éksternal PTP TX Jumlah SOP: 0
# Saluran 1 Éksternal PTP TX EOPs cacah: 0
# Saluran 1 Éksternal MISC TX SOP cacah: 0
# Saluran 1 Éksternal MISC TX EOPs cacah: 0
# Saluran 1 Jumlah SOP RX éksternal: 0
# Saluran 1 Éksternal RX EOPs cacah: 0
# Saluran 2 eCPRI TX Jumlah SOP: 0
# Saluran 2 eCPRI TX EOPs cacah: 0
# Saluran 2 eCPRI RX SOP jumlah: 0
# Saluran 2 eCPRI RX EOPs cacah: 0
# Saluran 2 Éksternal PTP TX Jumlah SOP: 0
# Saluran 2 Éksternal PTP TX EOPs cacah: 0
# Saluran 2 Éksternal MISC TX SOP cacah: 0
# Saluran 2 Éksternal MISC TX EOPs cacah: 0
# Saluran 2 Jumlah SOP RX éksternal: 0
# Saluran 2 Éksternal RX EOPs cacah: 0
# Saluran 3 eCPRI TX Jumlah SOP: 0
# Saluran 3 eCPRI TX EOPs cacah: 0
# Saluran 3 eCPRI RX SOP jumlah: 0
# Saluran 3 eCPRI RX EOPs cacah: 0
# Saluran 3 Éksternal PTP TX Jumlah SOP: 0
# Saluran 3 Éksternal PTP TX EOPs cacah: 0
# Saluran 3 Éksternal MISC TX SOP cacah: 0
# Saluran 3 Éksternal MISC TX EOPs cacah: 0
# Saluran 3 Jumlah SOP RX éksternal: 0
# Saluran 3 Éksternal RX EOPs cacah: 0
# _________________________________________________________
# INFO: Mimitian ngirimkeun pakét
# _________________________________________________________
#
#
# INFO: Ngadagoan pangiriman lalulintas Channel 0 eCPRI TX réngsé
# INFO: Saluran 0 eCPRI TX transfer lalulintas réngsé
# INFO: Ngadagoan Channel 0 eCPRI External TX PTP mindahkeun lalulintas ka
lengkep
# INFO: Saluran 0 eCPRI External TX PTP transfer lalulintas réngsé
# INFO: Ngadagoan Channel 0 eCPRI External TX Misc mindahkeun lalulintas ka
lengkep
# INFO: Saluran 0 eCPRI External TX Misc mindahkeun lalulintas réngsé
# INFO: Ngadagoan pangiriman lalulintas Channel 1 eCPRI TX réngsé
# INFO: Saluran 1 eCPRI TX transfer lalulintas réngsé
# INFO: Ngadagoan Channel 1 eCPRI External TX PTP mindahkeun lalulintas ka
lengkep
# INFO: Saluran 1 eCPRI External TX PTP transfer lalulintas réngsé
# INFO: Ngadagoan Channel 1 eCPRI External TX Misc mindahkeun lalulintas ka
lengkep
# INFO: Saluran 1 eCPRI External TX Misc mindahkeun lalulintas réngsé
# INFO: Ngadagoan pangiriman lalulintas Channel 2 eCPRI TX réngsé
# INFO: Saluran 2 eCPRI TX transfer lalulintas réngsé
# INFO: Ngadagoan Channel 2 eCPRI External TX PTP mindahkeun lalulintas ka
lengkep
# INFO: Saluran 2 eCPRI External TX PTP transfer lalulintas réngsé
# INFO: Ngadagoan Channel 2 eCPRI External TX Misc mindahkeun lalulintas ka
lengkep
# INFO: Saluran 2 eCPRI External TX Misc mindahkeun lalulintas réngsé
# INFO: Ngadagoan pangiriman lalulintas Channel 3 eCPRI TX réngsé
# INFO: Saluran 3 eCPRI TX transfer lalulintas réngsé
# INFO: Ngadagoan Channel 3 eCPRI External TX PTP mindahkeun lalulintas ka
lengkep
# INFO: Saluran 3 eCPRI External TX PTP transfer lalulintas réngsé
# INFO: Ngadagoan Channel 3 eCPRI External TX Misc mindahkeun lalulintas ka
lengkep
# INFO: Saluran 3 eCPRI External TX Misc mindahkeun lalulintas réngsé
# _________________________________________________________
# INFO: Eureun ngirimkeun pakét
# _________________________________________________________
#
#
# _________________________________________________________
# INFO: Mariksa statistik pakét
# _________________________________________________________
#
#
# Saluran 0 eCPRI SOP dikirimkeun: 50
# Saluran 0 eCPRI EOP dikirimkeun: 50
# Saluran 0 eCPRI SOP nampi: 50
# Saluran 0 eCPRI EOPs nampi: 50
# Saluran 0 eCPRI Kasalahan dilaporkeun: 0
# Saluran 0 SOP PTP éksternal anu dikirimkeun: 4
# Saluran 0 PTP EOPs éksternal dikirimkeun: 4
# Saluran 0 SOP MISC éksternal dikirimkeun: 128
# Saluran 0 Eksternal MISC EOPs dikirimkeun: 128
# Saluran 0 SOP éksternal nampi: 132
# Saluran 0 EOPs éksternal nampi: 132
# Saluran 0 SOP PTP éksternal nampi: 4
# Saluran 0 PTP EOPs éksternal nampi: 4
# Saluran 0 SOP MISC éksternal nampi: 128
# Saluran 0 Eksternal MISC EOPs nampi: 128
# Saluran 0 Kasalahan éksternal dilaporkeun: 0
# Saluran 0 Waktos Luaramp Kasalahan sidik ramo dilaporkeun: 0
# Saluran 1 eCPRI SOP dikirimkeun: 50
# Saluran 1 eCPRI EOP dikirimkeun: 50
# Saluran 1 eCPRI SOP nampi: 50
# Saluran 1 eCPRI EOPs nampi: 50
# Saluran 1 eCPRI Kasalahan dilaporkeun: 0
# Saluran 1 SOP PTP éksternal anu dikirimkeun: 4
# Saluran 1 PTP EOPs éksternal dikirimkeun: 4
# Saluran 1 SOP MISC éksternal dikirimkeun: 128
# Saluran 1 Eksternal MISC EOPs dikirimkeun: 128
# Saluran 1 SOP éksternal nampi: 132
# Saluran 1 EOPs éksternal nampi: 132
# Saluran 1 SOP PTP éksternal nampi: 4
# Saluran 1 PTP EOPs éksternal nampi: 4
# Saluran 1 SOP MISC éksternal nampi: 128
# Saluran 1 Eksternal MISC EOPs nampi: 128
# Saluran 1 Kasalahan éksternal dilaporkeun: 0
# Saluran 1 Waktos Luaramp Kasalahan sidik ramo dilaporkeun: 0
# Saluran 2 eCPRI SOP dikirimkeun: 50
# Saluran 2 eCPRI EOP dikirimkeun: 50
# Saluran 2 eCPRI SOP nampi: 50
# Saluran 2 eCPRI EOPs nampi: 50
# Saluran 2 eCPRI Kasalahan dilaporkeun: 0
# Saluran 2 SOP PTP éksternal anu dikirimkeun: 4
# Saluran 2 PTP EOPs éksternal dikirimkeun: 4
# Saluran 2 SOP MISC éksternal dikirimkeun: 128
# Saluran 2 Eksternal MISC EOPs dikirimkeun: 128
# Saluran 2 SOP éksternal nampi: 132
# Saluran 2 EOPs éksternal nampi: 132
# Saluran 2 SOP PTP éksternal nampi: 4
# Saluran 2 PTP EOPs éksternal nampi: 4
# Saluran 2 SOP MISC éksternal nampi: 128
# Saluran 2 Eksternal MISC EOPs nampi: 128
# Saluran 2 Kasalahan éksternal dilaporkeun: 0
# Saluran 2 Waktos Luaramp Kasalahan sidik ramo dilaporkeun: 0
# Saluran 3 eCPRI SOP dikirimkeun: 50
# Saluran 3 eCPRI EOP dikirimkeun: 50
# Saluran 3 eCPRI SOP nampi: 50
# Saluran 3 eCPRI EOPs nampi: 50
# Saluran 3 eCPRI Kasalahan dilaporkeun: 0
# Saluran 3 SOP PTP éksternal anu dikirimkeun: 4
# Saluran 3 PTP EOPs éksternal dikirimkeun: 4
# Saluran 3 SOP MISC éksternal dikirimkeun: 128
# Saluran 3 Eksternal MISC EOPs dikirimkeun: 128
# Saluran 3 SOP éksternal nampi: 132
# Saluran 3 EOPs éksternal nampi: 132
# Saluran 3 SOP PTP éksternal nampi: 4
# Saluran 3 PTP EOPs éksternal nampi: 4
# Saluran 3 SOP MISC éksternal nampi: 128
# Saluran 3 Eksternal MISC EOPs nampi: 128
# Saluran 3 Kasalahan éksternal dilaporkeun: 0
# Saluran 3 Waktos Luaramp Kasalahan sidik ramo dilaporkeun: 0
# _________________________________________________________
# INFO: Tes LULUS
#
# _________________________________________________________

1.4.1. Ngaktipkeun Dynamic Reconfiguration ka IP Ethernet
Sacara standar, konfigurasi ulang dinamis ditumpurkeun dina desain eCPRI IP example sarta éta ngan lumaku pikeun Intel Stratix 10 (E-ubin jeung H-ubin) jeung Intel Agilex 7 (E-ubin) desain examples.

  1. Tingali pikeun garis handap dina test_wrapper.sv ti dihasilkeunample_dir> / simulasi / diréktori testbench: parameter ETHERNET_DR_EN = 0
  2. Robah nilai tina 0 ka 1: parameter ETHERNET_DR_EN = 1
  3. Jalankeun deui simulasi nganggo ex anu samiampdiréktori desain.

1.5. Nyusun Proyék Kompilasi-Ngan
Pikeun nyusun kompilasi-hijina example proyék, tuturkeun léngkah ieu:

  1. Pastikeun desain kompilasi example generasi geus réngsé.
  2. Dina parangkat lunak Intel Quartus Prime Pro Edition, buka proyék Intel Quartus Prime Pro Editionample_dir>/sintésis/quartus/ ecpri_ed.qpf.
  3. Dina menu Processing, klik Mimitian Kompilasi.
  4. Saatos kompilasi suksés, laporan pikeun waktos sareng panggunaan sumberdaya sayogi dina sési Intel Quartus Prime Pro Edition anjeun. Buka Processing ➤ Laporan Kompilasi ka view laporan lengkep ngeunaan kompilasi.
    Émbaran patali
    Aliran Desain Dumasar Blok

1.6. Nyusun sareng Ngonpigurasikeun Desain Example di Hardware
Pikeun nyusun desain hardware example sareng ngonpigurasikeunana dina alat Intel anjeun, tuturkeun léngkah-léngkah ieu:

  1. Pastikeun desain hardware example generasi geus réngsé.
  2. Dina parangkat lunak Intel Quartus Prime Pro Edition, buka proyék Intel Quartus Primeample_dir>/sintésis/quartus/ecpri_ed.qpf.
  3. Dina menu Processing, klik Mimitian Kompilasi.
  4. Saatos kompilasi suksés, a .sof file geus sadia diample_dir>/ sintésis/quartus/output_files diréktori. Turutan léngkah ieu pikeun program desain hardware exampdina alat Intel FPGA:
    a. Sambungkeun Development Kit ka komputer host.
    b. Jalankeun aplikasi Kontrol Jam, anu mangrupikeun bagian tina kit pamekaran, sareng setel frekuensi énggal pikeun desain ex.ample. Di handap ieu setelan frékuénsi dina aplikasi Clock Control:
    • Upami anjeun nargétkeun desain anjeun dina Kit Pangwangunan Intel Stratix 10 GX SI:
    — U5, OUT8- 100 MHz
    — U6, OUT3- 322.265625 MHz
    - U6, OUT4 jeung OUT5- 307.2 MHz
    • Upami anjeun nargétkeun desain anjeun dina Kit Pangwangunan Intel Stratix 10 TX SI:
    — U1, CLK4- 322.265625 MHz (Pikeun laju data 25G)
    — U6- 156.25 MHz (Pikeun laju data 10G)
    — U3, OUT3- 100 MHz
    — U3, OUT8- 153.6 MHz
    • Upami anjeun nargétkeun desain anjeun dina Kit Pangembangan Intel Agilex 7 F-Series Transceiver-SoC:
    — U37, CLK1A- 100 MHz
    - U34, CLK0P- 156.25 MHz
    — U38, OUT2_P- 153.6 MHz
    • Upami anjeun nargétkeun desain anjeun dina Kit Pangwangunan Intel Arria 10 GX SI:
    — U52, CLK0- 156.25 MHz
    — U52, CLK1- 250 MHz
    — U52, CLK3- 125 MHz
    - Y5- 307.2 MHz
    - Y6- 322.265625 MHz
    c. Dina menu Alat, klik Programmer.
    d. Dina Programmer, klik Setup Hardware.
    e. Pilih alat pamrograman.
    f. Pilih sareng tambahkeun Kit Pangembangan dimana sési Intel Quartus Prime Pro Edition anjeun tiasa nyambung.
    g. Pastikeun yén Mode disetel ka JTAG.
    h. Pilih alat teras klik Tambahkeun Alat. Programmer mintonkeun diagram blok tina sambungan antara alat dina dewan Anjeun.
    abdi. Muatan .sof file ka alat Intel FPGA Anjeun masing-masing.
    j. Muat format Executable sareng Linking (.elf) file mun Intel Stratix Anjeun 10 atawa
    alat Intel Agilex 7 lamun rencana pikeun ngalakukeun reconfiguration dinamis (DR) pikeun pindah laju data antara 25G na 10G. Turutan parentah ti Generating jeung Ngundeur nu Executable na Linking Format (.elf) Programming File dina kaca 38 pikeun ngahasilkeun .elf file.
    k. Dina baris kalayan .sof Anjeun, pariksa kotak Program / Konpigurasikeun pikeun .sof file.
    l. Klik Mimitian.

Émbaran patali

  • Blok-Dumasar Desain
  • Pituduh Pamaké Programmer Intel Quartus Prime
  • Nganalisis sareng Debugging Desain sareng Konsol Sistem
  • Intel Agilex 7 F-Series Transceiver-SoC Development Kit Guide Pamaké
  • Intel Stratix 10 GX Transceiver Signal Integritas Development Kit Guide Pamaké
  • Intel Stratix 10 TX Transceiver Signal Integritas Development Kit Guide Pamaké
  • Intel Arria 10 GX Transceiver Signal Integritas Development Kit Guide Pamaké

1.7. Nguji eCPRI Intel FPGA IP Design Example
Saatos Anjeun compile eCPRI Intel FPGA IP core design example jeung ngonpigurasikeun eta dina alat Intel FPGA Anjeun, Anjeun tiasa make Konsol Sistim pikeun program inti IP na na study pribumi PHY IP inti registers.
Pikeun ngaktipkeun System Console jeung nguji hardware design example, tuturkeun léngkah ieu:

  1. Saatos desain hardware example geus ngonpigurasi dina alat Intel, dina software Intel Quartus Prime Pro Edition, dina menu Pakakas, klik System Debugging Pakakas ➤ System Console.
  2. Dina panel Tcl Console, robih diréktori kaample_dir>/sintésis/quartus/hardware_test sareng ketik paréntah di handap ieu pikeun muka sambungan ka JTAG master sareng ngamimitian tés:
    • sumber ecpri_agilex.tcl pikeun Intel Agilex 7 desain
    • sumber ecpri_s10.tcl pikeun Intel Stratix 10 desain
    • sumber ecpri_a10.tcl pikeun Intel Arria 10 desain
  3. Pikeun variasi alat Intel Stratix 10 atanapi Intel Agilex 7 E-ubin anjeun, anjeun kedah ngalaksanakeun paréntah loopback internal atanapi éksternal sakali saatos anjeun ngaprogram .sof. file:
    a. Ngaropéa TEST_MODE variabel dina flow.c file pikeun milih mode loopback:
    TEST_MODE Aksi
    0 Serial loopback ngaktifkeun pikeun simulasi wungkul
    1 Serial loopback ngaktifkeun pikeun hardware wungkul
    2 Serial loopback na calibration
    3 Calibration wungkul

    Anjeun kudu recompile na regenerate software NIOS II iraha wae anjeun ngarobah aliran.c file.
    b. Regenerasi .elf file jeung program ka dewan hiji deui waktu jeung reprogram nu .sof file.

  4. Uji operasi desain ngaliwatan paréntah anu dirojong dina skrip konsol sistem. Skrip konsol sistem nyayogikeun paréntah anu mangpaat pikeun maca statistik sareng fitur anu ngamungkinkeun dina desain.

meja 4. System Console Script Paréntah

Paréntah Katerangan
loop_on Ngaktifkeun TX mun RX loopback serial internal. Paké pikeun Intel Stratix 10 H-ubin jeung Intel Arria 10 alat wungkul.
loop_off Nonaktipkeun TX mun RX loopback serial internal. Paké pikeun Intel Stratix 10 H-ubin jeung Intel Arria 10 alat wungkul.
link _ init _ int _1pbk Ngaktifkeun TX ka RX loopback serial internal dina transceiver tur ngalakukeun aliran calibration transceiver. Lumaku pikeun Intel Stratix 10 E-ubin jeung Intel Agilex 7 E-ubin desain wungkul.
link _ init _ ext _1pbk Aktipkeun TX mun RX loopback éksternal sarta ngalaksanakeun aliran calibration transceiver. Lumaku pikeun Intel Stratix 10 E-ubin jeung Intel Agilex 7 E-ubin desain wungkul.
lalulintas gen nganonaktipkeun Nonaktipkeun generator lalu lintas sareng pamariksaan.
statistik chkmac Mintonkeun statistik pikeun Ethernet MAC.
baca_test_ statistik Témbongkeun statistik kasalahan pikeun generator lalulintas sarta checkers.
ext _ kontinyu _ mode _en Ngareset sakabéh sistem desain, tur ngamungkinkeun generator lalulintas keur ngahasilkeun pakét lalulintas kontinyu.
dr _ 25g _ nepi ka _ lOg _etile Ngarobih laju data tina Ethernet MAC tina 25G ka 10G. Paké pikeun Intel Stratix 10 E-ubin jeung Intel Agilex 7 alat E-ubin wungkul.
dr_25g_to_10g_htile Ngarobih laju data tina Ethernet MAC tina 25G ka 10G. Anggo kanggo alat H-tile wungkul
dr_10g_to_25g_etile Ngarobih laju data tina Ethernet MAC tina 10G ka 25G. Paké pikeun Intel Stratix 10 E-ubin jeung Intel Agilex 7 alat E-ubin wungkul.
dr _ 25g _ nepi ka _ lOg _htile Ngarobih laju data tina Ethernet MAC tina 10G ka 25G. Anggo kanggo alat H-tile wungkul.

Di handap ieu sample output ngagambarkeun hiji test ngajalankeun suksés:
Cetakan Konsol Sistem (Jumlah Saluran = 1)
Saluran 0 EXT PTP TX SOP Jumlah: 256
Saluran 0 EXT PTP TX EOP Jumlah: 256
Saluran 0 EXT MISC TX SOP Count: 36328972
Saluran 0 EXT MISC TX EOP Count: 36369511
Saluran 0 EXT RX SOP Jumlah: 36410364
Saluran 0 EXT RX EOP Jumlah: 36449971
Saluran 0 EXT Checker Kasalahan: 0
Saluran 0 EXT Checker Error Counts: 0
Saluran 0 EXT PTP Kasalahan Sidik: 0
Saluran 0 EXT PTP Fingerprint Error Counts: 0
Saluran 0 TX SOP Count: 1337760
Saluran 0 TX EOP Count: 1339229
Saluran 0 RX SOP Jumlah: 1340728
Saluran 0 RX EOP Jumlah: 1342555
Kasalahan Pemeriksa Saluran 0: 0
Saluran 0 Checker Kasalahan Jumlah: 0

=================================================== ===========================
=============
STATISTIK MAC ETHERNET Pikeun Saluran 0 (Rx)

=================================================== ===========================
=============
Pigura fragméntasi: 0
Bingkai Jabbered: 0
Ukuran Katuhu sareng FCS Err Frames: 0
Pigura Err data multicast: 0
Data Siaran Bingkai Kasalahan: 0
Data Unicast Err Frames: 0
Pigura 64 Byte: 3641342
Pigura 65 – 127 Byte : 0
Pigura 128 – 255 Byte : 37404809
Pigura 256 – 511 Byte : 29128650
Pigura 512 – 1023 Byte : 0
Pigura 1024 – 1518 Byte : 0
1519 – Pigura bait MAX: 0
> Bingkai bait MAX: 0
Data multicast OK Pigura: 70174801
Siaran data OK Frame: 0
Data Unicast OK Frames: 0
Pigura Kontrol Multicast: 0
Pigura Kontrol Siaran: 0
Pigura Kontrol Unicast: 0
Ngareureuhkeun Control Frames: 0
Payload Octets OKÉ: 11505935812
Pigura Oktét OK: 12918701444
Rx Panjang pigura maksimum: 1518
Sakur Ukuran sareng FCS Err Frame: 0
Kontrol Multicast Bingkai Kasalahan: 0
Kontrol siaran Err Frame: 0
Kontrol Unicast Bingkai Kasalahan: 0
Ngareureuhkeun kontrol Err Frames: 0
Rx Frame Mimitian: 70174801

Di handap ieu sampkaluaran pikeun uji coba 25G ka 10G DR:
Cetakan Konsol Sistem (25G ka 10G DR E-ubin)

Mimitian Konfigurasi Dinamis pikeun Ethernet 25G -> 10G
DR Suksés 25G -> 10G
Aksés ngadaptar RX PHY: Mariksa Frékuénsi Jam (KHz)
TXCLK: 16114 (KHZ)
RXCLK: 16113 (KHZ)
Polling Status RX PHY
Status Konci Frékuénsi Rx 0x0000000f
Jam Mac dina Kaayaan OK? 0x00000001
Kasalahan Rx Frame? 0x00000000
Rx PHY pinuh dijajar? 0x00000001
Polling RX PHY Channel 0
RX PHY Channel 0 parantos dijalankeun!

Cetakan Konsol Sistem (25G ka 10G DR H-ubin)
Mimitian Konfigurasi Dinamis pikeun Ethernet 25G -> 10G
DR Suksés 25G -> 10G
Aksés ngadaptar RX PHY: Mariksa Frékuénsi Jam (KHz)
TXCLK: 15625 (KHZ)
RXCLK: 15625 (KHZ)
Polling Status RX PHY
Status Konci Frékuénsi Rx 0x00000001
Jam Mac dina Kaayaan OK? 0x00000007
Kasalahan Rx Frame? 0x00000000
Rx PHY pinuh dijajar? 0x00000001
Polling RX PHY Channel 0
RX PHY Channel 0 parantos dijalankeun!

Cetakan Konsol Sistem (10G ka 25G DR E-ubin)
Mimitian Konfigurasi Dinamis pikeun Ethernet 10G -> 25G
DR Suksés 10G -> 25G
Aksés ngadaptar RX PHY: Mariksa Frékuénsi Jam (KHz)
TXCLK: 40283 (KHZ)
RXCLK: 40283 (KHZ)
Polling Status RX PHY
Status Konci Frékuénsi Rx 0x0000000f
Jam Mac dina Kaayaan OK? 0x00000001
Kasalahan Rx Frame? 0x00000000
Rx PHY pinuh dijajar? 0x00000001
Polling RX PHY Channel 0
RX PHY Channel 0 parantos dijalankeun!

Cetakan Konsol Sistem (10G ka 25G DR H-ubin)
Mimitian Konfigurasi Dinamis pikeun Ethernet 10G -> 25G
DR Suksés 10G -> 25G
Aksés ngadaptar RX PHY: Mariksa Frékuénsi Jam (KHz)
TXCLK: 39061 (KHZ)
RXCLK: 39063 (KHZ)
Polling Status RX PHY
Status Konci Frékuénsi Rx 0x00000001
Jam Mac dina Kaayaan OK? 0x00000007
Kasalahan Rx Frame? 0x00000000
Rx PHY pinuh dijajar? 0x00000001
Polling RX PHY Channel 0
RX PHY Channel 0 parantos dijalankeun!

Desain Example Katerangan

Desain example nunjukkeun pungsionalitas dasar inti eCPRI IP. Anjeun tiasa ngahasilkeun desain ti Example tab Desain dina redaktur parameter IP eCPRI.

2.1. Fitur

  • TX internal tur RX mode loopback serial
  • Otomatis ngahasilkeun pakét ukuran tetep
  • Kamampuhan mariksa pakét dasar
  • Kamampuhan ngagunakeun System Console pikeun nguji desain sareng ngareset desain pikeun tujuan uji ulang

2.2. Desain Hardware Example
angka 5. Blok Diagram pikeun Intel Agilex 7 Desain F-ubineCPRI Intel FPGA IP Design - Gambar 5

Intel Corporation. Sadaya hak disimpen. Intel, logo Intel, sareng merek Intel sanés mangrupikeun mérek dagang Intel Corporation atanapi anak perusahaanna. Intel ngajamin kinerja produk FPGA sareng semikonduktor na kana spésifikasi ayeuna saluyu sareng garansi standar Intel, tapi ngagaduhan hak pikeun ngarobih naon waé produk sareng jasa iraha waé tanpa aya bewara. Intel henteu nanggung tanggung jawab atanapi tanggung jawab anu timbul tina aplikasi atanapi pamakean inpormasi, produk, atanapi jasa anu dijelaskeun di dieu iwal ti dinyatakeun sapuk sacara tinulis ku Intel. Konsumén Intel disarankan pikeun ménta versi panganyarna tina spésifikasi alat sateuacan ngandelkeun inpormasi anu diterbitkeun sareng sateuacan nempatkeun pesenan produk atanapi jasa. *Ngaran sareng merek sanésna tiasa diklaim salaku hak milik batur.

angka 6. Blok Diagram pikeun Intel Agilex 7 E-kotak DesaineCPRI Intel FPGA IP Design - Gambar 6angka 7. Blok Diagram pikeun Intel Stratix 10 desaineCPRI Intel FPGA IP Design - Gambar 7

angka 8. Blok diagram pikeun Intel Arria 10 rarancangeCPRI Intel FPGA IP Design - Gambar 8Desain hardware inti eCPRI Intel FPGA IP example ngawengku komponén handap:
eCPRI Intel FPGA IP
Narima data ti generator lalulintas instantiated dina wrapper test jeung prioritas data pikeun transmisi ka IP Ethernet.

Ethernet IP

  • F-ubin Ethernet Intel FPGA Hard IP (Intel Agilex 7 desain F-ubin)
  • E-ubin Hard IP pikeun Ethernet (Intel Stratix 10 atanapi Intel Agilex 7 E-desain E-ubin)
  • 25G Ethernet Intel Stratix 10 IP (desain ubin Intel Stratix 10 H)
  • Low Latency Ethernet 10G MAC IP sareng 1G / 10GbE sareng 10GBASE-KR PHY IP (desain Intel Arria 10)

Precision Time Protocol (PTP) IO PLL
Pikeun desain ubin Intel Stratix 10 H-Instantiated pikeun ngahasilkeun jam rujukan input pangukuran latency pikeun IP Ethernet sareng s.ampjam ling pikeun Time of Day (TOD) subsistem. Pikeun 25G Ethernet Intel Stratix 10 FPGA IP sareng fitur IEEE 1588v2, Intel nyarankeun anjeun nyetél frékuénsi jam ieu ka 156.25 MHz. Tingal 25G Ethernet Intel Stratix 10 FPGA IP Guide pamaké sarta Intel Stratix 10 H-tile Transceiver PHY Guide pamaké pikeun émbaran leuwih lengkep. PTP IOPLL ogé ngahasilkeun jam rujukan pikeun eCPRI IO PLL dina cara cascading.
Pikeun Intel Arria 10 desain-Instantiated ngahasilkeun input jam 312.5 MHz jeung 156.25 MHz pikeun Low Latency Ethernet 10G MAC IP na 1G / 10GbE, 10GBASE-KR PHY IP, sarta eCPRI IP.

eCPRI IO PLL
Ngahasilkeun kaluaran jam inti 390.625 MHz pikeun TX na RX jalur IP eCPRI, sareng komponenana lalulintas.
Catetan: Blok ieu ngan aya dina desain example dihasilkeun pikeun Intel Stratix 10 jeung Intel Agilex 7 alat.

Catetan: Versi ayeuna tina eCPRI Intel FPGA IP ngan ngarojong tipe IWF 0. Pikeun alat Intel Agilex 7 F-ubin, desain example diaktipkeun kalawan fitur IWF teu dirojong.
Nalika anjeun ngahasilkeun desain example kalawan Interworking Fungsi (IWF) Parameter rojongan dipareuman, ngalir lalulintas pakét langsung ti modul test wrapper kana Avalon-ST sumber / panganteur tilelep tur sumber éksternal / panganteur tilelep tina IP eCPRI.
Nalika anjeun ngahasilkeun desain example jeung Interworking Fungsi (IWF) Parameter rojongan dihurungkeun, lalulintas pakét ngalir ka IWF Avalon-ST tilelep panganteur ti modul test wrapper munggaran, sarta kaluar ti IWF Avalon-ST panganteur sumber ka eCPRI Avalon-ST sumber / tilelep panganteur.
CPRI MAC
Nyayogikeun bagian CPRI tina lapisan 1 sareng lapisan pinuh 2 protokol pikeun mindahkeun pesawat pangguna, C&M, sareng inpormasi sinkronisasi antara REC sareng RE ogé antara dua RE,
CPRI PHY
Nyayogikeun bagian sésana tina protokol lapisan CPRI 1 pikeun coding garis, koreksi / deteksi kasalahan bit, sareng sajabana.

Catetan: CPRI MAC sareng CPRI PHY IP instantiated dina desain ieu example nu ngonpigurasi pikeun ngajalankeun dina laju garis CPRI tunggal 9.8 Gbps wungkul. Desain example teu ngarojong laju garis rundingan otomatis dina release ayeuna.

Test Wrapper
Diwangun ku generator lalu lintas sareng checkers anu ngahasilkeun set pakét data anu béda-béda kana antarmuka Avalon Streaming (Avalon-ST) tina IP eCPRI sapertos di handap ieu:

  • pakét eCPRI kana antarmuka sumber/sink Avalon-ST (fitur IWF ditumpurkeun):
    — Ngan ukur ngadukung jinis pesen 2.
    - Generasi modeu mundur sareng generasi mode pola incremental sareng ukuran payload 72 bait pikeun tiap pakét.
    - Configurable via CSR pikeun ngajalankeun dina modeu non-kontinyu atawa kontinyu.
    - TX / RX pakét status statistik sadia pikeun aksés via CSR.
  • pakét eCPRI kana panganteur sumber/sink Avalon-ST (fitur IWF diaktipkeun):
    — Ngan ukur ngadukung jinis pesen 0 dina sékrési ayeuna.
    - Generasi mode pola Incremental sareng generasi gap interpacket sareng ukuran payload 240 bait pikeun unggal pakét.
    - Configurable via CSR pikeun ngajalankeun dina modeu non-kontinyu atawa kontinyu.
    - TX / RX pakét status statistik sadia pikeun aksés via CSR.
  • Precision Time Protocol (1588 PTP) pakét sareng pakét rupa-rupa non-PTP kana antarmuka sumber / tilelep éksternal:
    — Generasi lulugu statik Ethernet sareng parameter anu tos ditetepkeun: Ethertype0x88F7, Tipe pesen- Opcode 0 (Sync), sareng versi PTP-0.
    - Generasi mode pola anu tos ditetepkeun sareng gap interpacket 2 siklus sareng ukuran payload 57 bait pikeun tiap pakét.
    - 128 pakét dihasilkeun dina période unggal detik.
    - Configurable via CSR pikeun ngajalankeun dina modeu non-kontinyu atawa kontinyu.
    - TX / RX pakét status statistik sadia pikeun aksés via CSR.
  • Paket rupa-rupa non-PTP éksternal:
    - Generasi Header Ethernet statik sareng parameter anu tos ditetepkeun, Ethertype- 0x8100 (non-PTP).
    - Generasi mode pola PRBS kalayan gap interpacket 2 siklus sareng ukuran payload 128 bait pikeun tiap pakét.
    - Configurable via CSR pikeun ngajalankeun dina modeu non-kontinyu atawa kontinyu.
    - TX / RX pakét status statistik sadia pikeun aksés via CSR.

Subsistem Time of Day (TOD).
Ngandung dua modul IEEE 1588 TOD pikeun TX sareng RX, sareng hiji modul IEEE 1588 TOD Synchronizer dihasilkeun ku software Intel Quartus Prime.
Subsistem Nios® II
Diwangun ku Avalon-MM sasak anu ngamungkinkeun Avalon-MM arbitrase data antara prosésor Nios II, wrapper test, sarta Avalon® -MM blok decoder alamat.
Nios II tanggung jawab nedunan switching laju data dumasar kana kaluaran tina nilai register rate_switch wrapper test. Blok ieu ngaprogram pendaptaran anu diperyogikeun saatos nampi paréntah ti bungkus tés.

Catetan: Blok ieu henteu aya dina desain example dihasilkeun pikeun Intel Arria 10 sarta Intel Agilex 7 alat F-ubin.
Konsol Sistim
Nyadiakeun antarbeungeut anu ramah-pamaké pikeun anjeun ngalakukeun debugging tingkat kahiji sareng ngawas status IP, sareng generator lalu lintas sareng checkers.
Demo Control
modul ieu diwangun ku reset modul sinkronisasi, sarta Dina-sistem Sumber na usik (ISSP) modul pikeun sistem desain debugging jeung prosés initialization.

Émbaran patali

  • 25G Ethernet Intel Stratix 10 Pituduh Pamaké IP FPGA
  • E-ubin Hard IP Guide pamaké
  • eCPRI Intel FPGA IP Guide pamaké
  • 25G Ethernet Intel Stratix 10 FPGA IP Desain Example Guide pamaké
  • E-ubin Hard IP pikeun Intel Stratix 10 Desain Examples Guide pamaké
  • Intel Stratix 10 L- sarta H-Genteng Transceiver PHY Guide pamaké
  • E-Genteng Transceiver PHY Guide pamaké
  • Intel Stratix 10 10GBASE-KR PHY IP Pituduh Pamaké
  • E-ubin teuas IP Intel Agilex Desain Example Guide pamaké

2.3. Desain Simulasi Example
Desain eCPRI example ngahasilkeun testbench simulasi jeung simulasi files nu instantiates inti eCPRI Intel FPGA IP mun anjeun milih pilihan Simulasi atawa Sintésis & simulasi.

angka 9. eCPRI Intel FPGA IP Simulasi Blok DiagrameCPRI Intel FPGA IP Design - Gambar 9

Catetan: Blok Subsistem Nios II henteu aya dina desain example dihasilkeun pikeun Intel Arria 10 sarta Intel Agilex 7 alat F-ubin.
Dina desain ieu example, testbench simulasi nyadiakeun pungsi dasar kayaning ngamimitian jeung ngadagoan konci, ngirimkeun sarta nampa pakét.

Hasil tés anu suksés nunjukkeun kaluaran anu mastikeun paripolah ieu:

  1. Logika klien ngareset inti IP.
  2. Logika klien ngantosan alignment jalur data RX.
  3. Logika klien ngirimkeun pakét dina panganteur Avalon-ST.
  4. Nampi sareng pariksa eusi sareng kabeneran pakét.
  5. Témbongkeun pesen "Test LULUS".

2.4. Sinyal panganteur
Tabél 5. Desain Example Sinyal Interface

Sinyal Arah Katerangan
clk_ref Input Jam rujukan pikeun Ethernet MAC.
• Pikeun Intel Stratix 10 E-kotak, Intel Agilex 7 E-kobin jeung F-kotak desain, 156.25 MHz input jam pikeun E-kobin Ethernet Hard IP inti atawa F-kobin Ethernet Hard IP inti. Nyambung ka i_clk_ref [0] dina Ethernet Hard IP.
• Pikeun Intel Stratix 10 desain H-ubin, input jam 322.2625 MHz pikeun Transceiver ATX PLL na 25G Ethernet IP. Nyambung ka pll_refclk0 [0] dina Transceiver ATX PLL na clk_ref [0] dina 25G Ethernet IP.
• Pikeun Intel Arria 10 desain, input jam 322.265625 MHz pikeun Transceiver ATX PLL na 1G / 10GbE na 10GBase-KR PHY IP. Sambungkeun ka pll_refclk0 [0] dina Transceiver ATX PLL sareng rx_cdr_ref_clk_10g [0] dina 1G / 10GbE sareng 10G BASE-KR PHY IP.
tod_sync_sampling_clk Input Pikeun desain Intel Arria 10, input jam 250 MHz pikeun subsistem TOD.
clk100 Input Jam manajemén. Jam ieu dipaké pikeun ngahasilkeun latency_clk pikeun PTP. Ngajalankeun dina 100 MHz.
mgmt_reset_n Input Reset sinyal pikeun sistem Nios II.
tx_serial Kaluaran data séri TX. Ngarojong nepi ka 4 saluran.
rx_serial Input data séri RX. Ngarojong nepi ka 4 saluran.
iwf_cpri_ehip_ref_clk Input E-ubin CPRI PHY input jam rujukan. Jam ieu ngan aya dina Intel Stratix 10 E-ubin sareng Intel
Agilex 7 E-ubin desain. Ngajalankeun dina 153.6 MHz pikeun 9.8 Gbps laju garis CPRI.
iwf_cpri_pll_refclk0 Kaluaran CPRI TX PLL jam rujukan.
• Pikeun Intel Stratix 10 desain H-ubin: ngajalankeun pa 307.2 MHz pikeun laju data CPRI 9.8 Gbps.
• Pikeun Intel Stratix 10 E-ubin jeung Intel Agilex 7 E-desain ubin: ngajalankeun pa 156.25 MHz pikeun laju data CPRI 9.8 Gbps.
iwf_cpri_xcvr_cdr_refclk Kaluaran CPRI panarima CDR jam rujukan. Jam ieu ngan ukur aya dina desain ubin Intel Stratix 10 H.
Ngajalankeun dina 307.2 MHz pikeun laju garis CPRI 9.8 Gbps.
iwf_cpri_xcvr_txdataout Kaluaran CPRI ngirimkeun data serial. Ngarojong nepi ka 4 saluran.
iwf_cpri_xcvr_rxdatain Kaluaran CPRI panarima data serial. Ngarojong nepi ka 4 saluran.
cpri_gmii_clk Input CPRI GMII 125 MHz input jam.

Émbaran patali
Sinyal Interface PHY
Daptar sinyal panganteur PHY tina 25G Ethernet Intel FPGA IP.

2.5. Desain Example ngadaptar Peta
Di handap ieu daptar pemetaan keur eCPRI IP core design example:
meja 6. eCPRI Intel FPGA IP Desain Example ngadaptar Mapping

Alamat  Ngadaptar
0x20100000 – 0x201FFFFF(2) IOPLL Re-konfigurasi ngadaptar.
0x20200000 - 0x203FFFFF Ethernet MAC Avalon-MM ngadaptar
0x20400000 - 0x205FFFFF Ethernet MAC Asalna PHY Avalon-MM ngadaptar
0x20600000 – 0x207FFFFF(2) Pribumi PHY RS-FEC Avalon-MM ngadaptar.
0x40000000 - 0x5FFFFFFF eCPRI IP Avalon-MM ngadaptar
0x80000000 - 0x9FFFFFFF Ethernet Desain Test generator / Verifier Avalon-MM ngadaptar

meja 7. Nios II ngadaptar Mapping
The registers dina tabel di handap ieu ngan sadia dina ex designample dihasilkeun pikeun Intel Stratix 10 atanapi Intel Agilex 7 alat E-kotak.

Alamat  Ngadaptar
0x00100000 - 0x001FFFFF IOPLL Re-konfigurasi ngadaptar
0x00200000 - 0x003FFFFF Ethernet MAC Avalon-MM ngadaptar
0x00400000 - 0x005FFFFF Ethernet MAC Asalna PHY Avalon-MM ngadaptar
0x00600000 - 0x007FFFFF Pribumi PHY RS-FEC Avalon-MM ngadaptar

Catetan: Anjeun tiasa ngakses Ethernet MAC na Ethernet MAC Asalna PHY AVMM registers ngagunakeun kecap offset tinimbang bait offset.
Kanggo inpo wincik tentang Ethernet MAC, Ethernet MAC Native PHY, sareng peta register eCPRI IP core, tingal pituduh pangguna masing-masing.

(2) Ngan aya dina desain example dihasilkeun pikeun Intel Stratix 10 sarta Intel Agilex 7 alat E-ubin.

meja 8. eCPRI Intel FPGA IP Hardware Desain Example ngadaptar Peta

Kecap Offset  Tipe ngadaptar  Nilai standar  Tipe Aksés
0x0 Mimitian Kirim Data:
• Bit 1: PTP, tipe non-PTP
• Bit 0: tipe eCPRI
0x0 RW
0x1 Kontinyu Packet Aktipkeun 0x0 RW
0x2 Hapus Kasalahan 0x0 RW
0x3 (3) Ngalihkeun laju:
• Bit [7]- Nunjukkeun ubin:
- 1'b0: H-ubin
— 1'b1: E-ubin
• Bit [6:4]- Nunjukkeun switching laju data Ethernet:
- 3'b000: 25G ka 10G
- 3'b001: 10G ka 25G
• Bit [0] - Laju switch ngaktifkeun. Diperlukeun pikeun ngeset bit 0 ieu sareng polling dugi ka bit 0 jelas pikeun ngalihkeun laju.
Catetan: register Ieu teu sadia pikeun Intel Agilex 7 F-ubin jeung Intel Arria 10 desain.
• E-ubin: 0x80
• H-ubin: 0x0
RW
0x4 (3) Ngalihkeun Rate Réngsé:
• Bit [1] nunjukkeun laju switching rengse.
0x0 RO
0x5 (4) Status Konfigurasi Sistem:
• bit [31]: Sistim siap
• bit [30]: IWF_EN
• Bit [29]: STARTUP_SEQ_EN
• bit [28:4]: Ditangtayungan
• Bit [3]: EXT_PACKET_EN
• bit [2:0]: Ditangtayungan
0x0 RO
0x6 (4) Negosiasi CPRI Lengkep:
• Bit [3:0]: Laju bit lengkep
• Bit [19:16]: Protokol lengkep
0x0 RW
0x7 (4) Negosiasi CPRI Lengkep:
• bit [3: 0]: Gancang C & M lengkep
• bit [19:16]: Gancang VSS lengkep
0x0 RW
0x8 – 0x1F Ditangtayungan.
0x20 eCPRI Kasalahan Interupsi:
• Bit [0] nunjukkeun interupsi.
0x0 RO
0x21 Kasalahan pakét éksternal 0x0 RO
0x22 Éksternal PTP pakét TX Mimitian pakét (SOP) cacah 0x0 RO
0x23 Éksternal PTP pakét TX Tungtung Packet (EOP) cacah 0x0 RO
0x24 Éksternal Rupa-rupa Pakét TX SOP Count 0x0 RO
0x25 Éksternal Rupa-rupa pakét TX EOP Count 0x0 RO
0x26 Éksternal RX pakét SOP Count 0x0 RO
0x27 Éksternal RX pakét EOP Count 0x0 RO
0x28 Pakét Éksternal Error Count 0x0 RO
0x29 – 0x2C Ditangtayungan.
0x2D Waktu PTP éksternalamp Cacah Kasalahan Sidik 0x0 RO
0x2E Waktu PTP éksternalamp Kasalahan sidik 0x0 RO
0x2f Status Kasalahan Rx éksternal 0x0 RO
0x30 – 0x47 Ditangtayungan.
0x48 Kasalahan pakét eCPRI RO
0x49 eCPRI TX SOP Count RO
0x4A eCPRI TX EOP Count RO
0x4B eCPRI RX SOP Count RO
0 x 4C eCPRI RX EOP Count RO
0x4D ECPRI Paket Kasalahan Count RO

Émbaran patali

  • Kontrol, Status, sareng Statistik ngadaptar Pedaran
    Inpo ngadaptar pikeun 25G Ethernet Stratix 10 FPGA IP
  • Reconfiguration sarta Status ngadaptar
    Katerangan Ngadaptar inpormasi pikeun IP Hard E-ubin pikeun Ethernet
  • Ngadaptar
    Ngadaptar informasi pikeun eCPRI Intel FPGA IP

eCPRI Intel FPGA IP Desain Example Arsip pituduh pamaké

Pikeun vérsi panganyarna sareng sateuacana tina pituduh pangguna ieu, tingal eCPRI Intel FPGA IP Design Example Pamaké Guide Vérsi HTML. Pilih versi teras klik Unduh. Upami IP atanapi vérsi parangkat lunak teu didaptarkeun, pituduh pangguna pikeun IP atanapi vérsi parangkat lunak saacanna lumaku.

Sajarah Révisi Dokumén pikeun eCPRI Intel FPGA IP Design Example Guide pamaké

Vérsi Dokumén Intel Quartus
Vérsi Perdana
Vérsi IP Parobahan
2023.05.19 23.1 2.0.3 • diropéa Simulating nu Desain Example bagian Testbench dina Bab Gancang Mimitian Guide.
• Ngamutahirkeun ngaran kulawarga produk pikeun "Intel Agilex 7".
2022.11.15 22.3 2.0.1 parentah diropéa pikeun VCS simulator dina bagian: Simulating Desain Exampjeung Testbench.
2022.07.01 22.1 1.4.1 • Ditambahkeun ex design hardwareamprojongan le pikeun Intel Agilex 7 variasi alat F-ubin.
• Ditambahkeun dukungan pikeun kit pamekaran ieu:
- Intel Agilex 7 I-Series FPGA Development Kit
- Intel Agilex 7 I-Series Transceiver-SoC Development Kit
• rojongan ditambahkeun pikeun QuestaSim simulator.
• Dipiceun rojongan pikeun ModelSim * SE simulator.
2021.10.01 21.2 1.3.1 • rojongan ditambahkeun pikeun Intel Agilex 7 alat F-ubin.
• Ditambahkeun rojongan pikeun desain multi-kanal.
• diropéa Table: eCPRI Intel FPGA IP Hardware Desain Example ngadaptar Peta.
• Dipiceun rojongan pikeun NCSim simulator.
2021.02.26 20.4 1.3.0 • rojongan ditambahkeun pikeun Intel Agilex 7 alat E-ubin.
2021.01.08 20.3 1.2.0 • Ngarobah judul dokumen ti eCPRI Intel Stratix 10 FPGA IP Desain Example Guide pamaké pikeun
eCPRI Intel FPGA IP Desain Example Guide pamaké.
• rojongan ditambahkeun pikeun Intel Arria 10 desain.
• The eCPRI IP design example ayeuna sadia kalawan fungsi interworking (IWF) rojongan fitur.
• Ditambahkeun catetan pikeun netelakeun yen eCPRI design example kalawan fitur IWF ngan sadia pikeun 9.8 Gbps CPRI
laju bit garis.
• kaayaan ditambahkeun dina bagian Generating Desain nalika generating desain example jeung
Parameter Rojongan Interworking (IWF) diaktipkeun.
• Ditambahkeun sample simulasi test ngajalankeun kaluaran kalawan fitur IWF diaktipkeun dina bagian Simulating Desain
Exampjeung Testbench.
• Ditambahkeun bagian anyar Aktipkeun Reconfiguration dinamis ka IP Ethernet.
• tés hardware diropéa sample kaluaran dina bagian
Nguji eCPRI Intel FPGA IP Design Example.
2020.06.15 20.1 1.1.0 • rojongan ditambahkeun pikeun laju data 10G.
• ngamalir.c file ayeuna sayogi sareng desain exampgenerasi pikeun milih mode loopback.
• dirobah s nuampkaluaran le pikeun uji simulasi ngajalankeun di bagian Simulating Desain Exampjeung Testbench.
• nilai frékuénsi ditambahkeun pikeun ngajalankeun 10G design laju data dina bagian Compiling na Konfigurasi nu
Desain Example di Hardware.
• Dijieun handap parobahan dina bagian Nguji eCPRI Intel FPGA IP Desain Example:
- Ditambahkeun paréntah pikeun ngalihkeun laju data antara 10G sareng 25G
- Ditambahkeun sampkaluaran le pikeun switching laju data
- Ditambahkeun TEST_MODE inpormasi variabel pikeun milih loopback dina variasi alat E-ubin.
• Dirobah eCPRI Intel FPGA IP Hardware Desain Examples High Level Blok Diagram pikeun ngawengku anyar
blok.
• diropéa Table: Desain Example Interface Sinyal ngawengku sinyal anyar.
• diropéa Desain Example ngadaptar bagian Peta.
• Ditambahkeun bagian lampiran anyar: Ngahasilkeun sareng Ngundeur Pemrograman Format Executable sareng Linking (.elf). File .
2020.04.13 19.4 1.1.0 Pelepasan awal.

A. Ngahasilkeun sareng Ngundeur Pemrograman Format Executable sareng Linking (.elf). File

bagian ieu ngajelaskeun kumaha carana ngahasilkeun tur ngundeur .elf file ka dewan:

  1. Robah diréktori kaample_dir>/sintésis/quatus.
  2. Dina parangkat lunak Intel Quartus Prime Pro Edition, klik Open Project sareng bukaample_dir>/sintésis/quartus/epri_ed.qpf. Ayeuna pilih Tools ➤ Nios II Software Build Tools for Eclipse.
    angka 10. Nios II Software Ngawangun Parabot keur samagahaeCPRI Intel FPGA IP Design - Gambar 10
  3. Jandéla Workspace Launcher némbongan. Dina Workspace tangtukeun jalur salakuample_dir>/sintésis/quatus pikeun nyimpen proyék Eclipse Anjeun. Jandéla Nios II - Eclipse anyar muncul.
    angka 11. Workspace Launcher JandelaeCPRI Intel FPGA IP Design - Gambar 11
  4. Dina jandela Nios II - Eclipse, klik katuhu dina tab Project Explorer, tur pilih New ➤ Nios II Board Support Package. Jandéla anyar nembongan.
    Gambar 12. Tab Project ExplorereCPRI Intel FPGA IP Design - Gambar 12
  5. Dina jandéla Paket Dukungan Dewan Nios II:
    • Dina parameter ngaran Project, tangtukeun ngaran proyék nu Anjeun hoyongkeun.
    • Dina Émbaran SOPC File parameter ngaran, kotektak ka lokasi tinaample_dir> / sintésis / ip_components / nios_system / nios_system.sopcinfo file. Klik rengse.
    angka 13. Jandela Paket Rojongan Dewan Nios IIeCPRI Intel FPGA IP Design - Gambar 13
  6. Proyék anu nembé diciptakeun muncul dina tab Project Explorer dina jandela Eclipse Nios II. Klik-katuhu dina tab Project Explorer, tur pilih Nios II ➤ Nios II Command Shell.
    angka 14. Proyék Explorer- Nios II Komando ShelleCPRI Intel FPGA IP Design - Gambar 14
  7. Dina Nios II Command Shell, ketik tilu paréntah di handap ieu: nios2-bsp hal bsp ../../nios_system/nios_system.sopcinfo nios2-app-generate-makefile –app-dir app –bsp-dir bsp –elf-name\ nios_system.elf –src-dir ../../../ed_fw make –directory=app
  8. The .elf file dihasilkeun di lokasi handap:ample_dir>/sintésis/ip_komponén/software/ /aplikasi.
  9. Ketik paréntah di handap ieu dina Nios II Command Shell pikeun ngundeur .elf ka dewan:
    • Pikeun Intel Stratix 10: nios2-download -g -r -c 1 -d 2 –accept-bad-sysid app/nios_system.elf
    • Pikeun Intel Agilex 7: nios2-download -g -r -c 1 -d 1 –accept-bad-sysid app/nios_system.elf

logo InteleCPRI Intel FPGA IP Desain - ikon Vérsi online
eCPRI Intel FPGA IP Design - ikon 1 Kirim Eupan Balik
UG-20278
683837
2023.05.19
eCPRI Intel® FPGA IP Desain Example Guide pamaké

Dokumén / Sumberdaya

Intel eCPRI Intel FPGA IP Desain [pdf] Pituduh pamaké
eCPRI Intel FPGA IP Design, eCPRI, Intel FPGA IP Design, FPGA IP Design, IP Design, Design

Rujukan

Ninggalkeun komentar

alamat surélék anjeun moal diterbitkeun. Widang diperlukeun ditandaan *